🡄 Previous

Next 🡆

Contents > Logic Gates

XNOR

XNOR is a two-input gate that outputs the equality of its inputs. That is, the output is 1 only when the inputs are equal.

The code below constructs XNOR from an XOR gate connected to an inverter.

xnor.t
xor 0 0
notLeft 0 11

in a -4..-1 0
in b 0..3 0
out o -1..1 16

The following image shows the outputs for all possible inputs.

XNOR

🡄 Previous

Next 🡆